TYPE matrix_index is array (511 downto 0) of std_logic_vector(7 downto 0);
signal cnt_freq : matrix_index;

05-11 11:04