如何在VHDL中将信号延迟几个周期

如何在VHDL中将信号延迟几个周期