我设计了一个RAM模块,并且需要该模块的多个实例,每个实例具有不同的内存初始化文件。

Quartus手册说Quartus支持$readmemh()函数来初始化RAM。因此,我在此模块中添加了两个参数,并将不同的参数传递给每个实例,以指定每个实例将读取哪些文件。

我的以下代码可在Modelsim中使用,但是在合成时失败。 Quartus崩溃,将其删除后,Quartus可以成功合成。

module cell_module
#(
    parameter X_ID = "1",
    parameter Y_ID = "1",
    parameter DIR_ID = {X_ID, "_", Y_ID}
)
...
reg [15:0]           Mem_1 [0:31];
reg [15:0]           Mem_2 [0:31];
`ifdef SIM_MEM_INIT
    initial begin
    $readmemh ({"../data", DIR_ID, "/file1.txt"},Mem_1);
    $readmemh ({"../data", DIR_ID, "/file2.txt"},Mem_2);
    end
`endif

上面的模块在顶级实例化,如下所示:
cell_module #(.X_ID("1"), .Y_ID("1")) cell_module1 (...)
cell_module #(.X_ID("1"), .Y_ID("2")) cell_module2 (...)
cell_module #(.X_ID("2"), .Y_ID("1")) cell_module3 (...)
cell_module #(.X_ID("2"), .Y_ID("2")) cell_module4 (...)

参数指定哪个文件夹包含该单元的初始内存。
该代码可在Modelsim和Quartus分析中工作并成功完成。

但这会导致quartus_map在合成时崩溃。我找不到有关此错误消息的任何信息。

如果这不可能,是否有任何好的方法来初始化具有不同内容的多个实例的RAM?
谢谢

编辑:

我建立了一个小型的Quartus项目来测试是否可以做到这一点。我遵循Quartus手册,并编写了一个带有两个额外参数的标准RAM模块,以定义初始化存储器文件的文件夹。
这是ram的代码,
module mem_init
#(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=6, parameter X_ID = "1", Y_ID = "1", DIR_ID = {X_ID,"_", Y_ID})
(input [(DATA_WIDTH-1):0] data,
input [(ADDR_WIDTH-1):0] addr,
input we, clk,
output [(DATA_WIDTH-1):0] q);

reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];
reg [ADDR_WIDTH-1:0] addr_reg;

initial
begin : INIT
    $readmemh ("../data", DIR_ID, "/file.txt", ram);
end

always @ (posedge clk)
begin
    if (we)
        ram[addr] <= data;
    addr_reg <= addr;
end
assign q = ram[addr_reg];
endmodule

及其初始化:
mem_init #(.DATA_WIDTH(DATA_WIDTH), .ADDR_WIDTH(ADDR_WIDTH), .X_ID("1"), .Y_ID("1"))
mem1 (.data(data1), .addr(add1), .we(we), .clk(clk), .q(q1));

mem_init #(.DATA_WIDTH(DATA_WIDTH), .ADDR_WIDTH(ADDR_WIDTH), .X_ID("1"), .Y_ID("2"))
mem2 ( .data(data2),.addr(add2),.we(we), .clk(clk), .q(q2));

这在仿真中起作用,并且Quartus成功地综合了该设计。

最佳答案

IEEE-1800综合标准不支持“初始块”。

如果Altera/Intel让您摆脱了这一困境,那么就层次结构引用而言,这个问题可能也对您有所帮助:

https://github.com/YosysHQ/yosys/issues/344

10-08 07:36