我必须从文件加载ROM。 Quartus可以直接使用.mif文件,而在textio的帮助下,我为模拟器编写了(快速而又肮脏的).mif文件解析器。有没有一种方法可以检测Synthesizer工具(在我的情况下为Quartus)并仅在Synthesizer工具未对其进行编译时生成textio文件加载器进程?

the source question

最佳答案

您可以使用仅适用于您的特定综合工具的编译指示来检测综合工具。例如,您可以编写:

constant isQuartus : std_logic := '1'
-- altera translate_off
and '0'
-- altera translate_on
;


仅当您使用Altera的Quartus合成该常数时,该常数才会为'1'

有关各种VHDL元注释实用程序的更多信息,请访问:http://www.sigasi.com/content/list-known-vhdl-metacomment-pragmas

10-07 13:06