如何连接VHDL中的位?我正在尝试使用以下代码:

情况b0&b1&b2&b3是
...

并抛出一个错误

谢谢

最佳答案

仅在信号分配运算符'

10-01 11:16